Huge thanks to our Platinum Members Endace and LiveAction,
and our Silver Member Veeam, for supporting the Wireshark Foundation and project.

Wireshark-dev: Re: [Wireshark-dev] Feeding data to Wireshark in real time

From: Steve Karg <skarg@xxxxxxxxxxxxxxxxxxxxx>
Date: Thu, 18 Mar 2010 07:53:58 -0500
Hello Kahou,

> Does that mean the file header of the pcap file is incorrect? The pcap
> file can be displayed on wireshark if I load it manually tho.

There is a complete example of using a named pipe in C along with the
pcap header and packet data at:
https://bacnet.svn.sourceforge.net/svnroot/bacnet/trunk/bacnet-stack/demo/mstpcap/main.c

Best Regards,

Steve
-- 
http://steve.kargs.net/